12 tháng 12, 2021

tool gen spring entity

 

Điều kiện

Table layout phải được import và quản lý bằng DDL Management.

Cách sử dụng [DDL Management] để quản lý table layout tham khảo tại: DDL Management | acoda

Spring JPA Entity Template

Spring JPA Entity Template là template được sử dụng để generate entity cho các project sử dụng Spring JPA.

Tham khảo các template hữu ích khác tại: // TODO

Tham khảo cách customize template tại: // TODO

Generate Spring JPA Entity

Mở app [acoda], vào mục [codadevs], chọn [Template Management]

Tìm kiếm template [Spring JPA Entity Template]

Click button [View]

Click button [Gen Code]

Chọn ngày gen

Fill đường dẫn folder output

Click button [Gen Code]

Read More

tool gen datatest

 

 

Điều kiện

Table layout phải được import và quản lý bằng DDL Management.

Cách sử dụng [DDL Management] để quản lý table layout tham khảo tại: DDL Management | acoda

Datatest Management là gì?

Datatest Management là công cụ quản lý và gen datatest cho database.

Các tính năng chính:

  • Generate data: data được gen theo cấu trúc của database
  • Generate câu lệnh sql insert
  • Export data dưới dạng excel, csv

Cách sử dụng [Datatest Management]

Mở app [acoda], chọn project, vào mục [codadb], chọn [Datatest Management]

Click button [New] và chọn [Create folder] để tạo folder, chọn [Create file] để tạo testdata

[Datatest Management] quản lý data dưới dạng cây thư mục

Best practice: cấu trúc thư mục theo level: màn hình > testcase

Chức năng generate datatest

Chọn loại data [Input] or [Output]

Chọn table muốn gen

Chọn số lượng data mong muốn

Click button [Add]

Data được generate random sẽ được hiển thị như hình dưới

Click [Save] để save data, data sẽ được save dưới dạng csv vào folder tương ứng trong project workspace

Với mỗi table, có thể clone or delete data của table đó bằng cách click vào button [Clone], [Delete]

Tìm hiểu chi tiết nguyên tắc generate data tại: // TODO

Chức năng generate insert sql

Click button [Export] tương ứng với mỗi table

Chọn loại [Code]

Chọn template mong muốn, trong trường hợp này là [Datatest Mysql Insert SQL Template]

Tìm hiểu thêm về template tại: // TODO

Click button [Generate]

Câu lệnh insert sẽ được hiển thị như dưới:

Chức năng export excel

Click button [Export] ở trên header

Chọn loại [Design]

Chọn template mong muốn, trong trường hợp này là [Datatest Template]

Tìm hiểu thêm về template tại: // TODO

Click button [Generate]

File output được lưu vào folder tương ứng trong project workspace

Nội dung file excel

Làm sao để data có nghĩa

Generate data theo cách trên thì data được generate random, dẫn tới data rác, không có ý nghĩa, không phù hợp với nghiệp vụ.

[Datasets Management] được sinh ra để giải quyết vấn đề trên.

[Datasets Management] là gì?

Datasets là tập các data được tạo bởi người dùng để phù hợp với dự án, nghiệp vụ và có ý nghĩa. Lúc này khi thực hiện generate data thì data được gen ra bởi [Datatest Management] sẽ là các data trong tập datasets kia, vì vậy sẽ tránh được việc data bị vô nghĩa.

Config datasets như thế nào?

Tạo dataset

Mở app [acoda], chọn project, vào mục [codadb], chọn [Datasets Management]

Click [New Dataset]

Điền tên dataset

Điền dataset

Click [Save]

Config DDL

Vào màn [DDL Management], sửa giá trị của cột dataset cho cột tương ứng của table, ví dụ trong trường hợp này là cột privacy của table group

Kết quả

Read More

06 tháng 9, 2021

blog it

 tổng hợp các blog it hay

https://acoda-82b2c.web.app/


Read More

16 tháng 9, 2015

Learn Verilog

Icarus verilog and GTKWave

Installation Guide
Wiki Icarus verilog
Mình dùng Ubuntu: sudo apt-get install iverilog  gtkwave ( thường thì không phải là bản mới nhất )

Tạo file d_ff.v
module d_ff( d, clk, q, q_bar);
  input d, clk;
  output q, q_bar;
  reg q;
  reg q_bar;

  always @ (posedge clk)
  begin
    q <= d;
    q_bar <= !d;
  end
endmodule

Tiếp theo là file test bench d_ff_tb.v, chú ý 2 dòng:
$dumpfile ("d_ff_tb.vcd");
$dumpvars (1, d_ff_tb);
Waveform dumps are written by the Icarus Verilog runtime program vvp. The user uses $dumpfile and $dumpvars system tasks to enable waveform dumping, then the vvp runtime takes care of the rest. The output is written into the file specified by the $dumpfile system task. If the $dumpfile call is absent, the compiler will choose the file name dump.vcd or dump.lxt, depending on runtime flags.

module d_ff_tb;

reg clock, reset, d;
wire q, q_bar;

initial begin
  $dumpfile ("d_ff_tb.vcd");
  $dumpvars (1, d_ff_tb);
  $monitor ("clock=%b, d=%b, q=%b, q_bar=%b", clock, d, q, q_bar);
  clock = 0;
  d = 1;
  #10 d = 0;
  #20 $finish;
end

always begin
  #5 clock = !clock;
end

d_ff d0(
.d (d),
.clk (clock),
.q (q),
.q_bar (q_bar)
);

endmodule

Terminal: iverilog -o d_ff_tb d_ff_tb.v d_ff.v //Dòng lệnh này sẽ biên dịch ra file d_ff_tb, có thể dùng lệnh ls để kiểm tra
vvp d_ff_tb //tạo ra file mô phỏng: d_ff_tb.vcd
Có thể quan sát kết qủa trên terminal, hoặc dùng gtkwave để theo dõi.
Để dùng gtkwave có 2 cách:
  1. chạy lệnh: gtkwave d_ff_tb.vcd
  2. Mở gtkwave lên, chọn File>Open New Tab>chọn file d_ff_tb.vcd rồi kéo thả các cổng vào cửa sổ Signal sẽ thấy ngay tín hiệu đc vẽ bên cạnh. Chú ý, zoom out lại, kẻo ko thấy gì
Nguồn: 
Wiki Icarus verilog
http://www.rowetel.com/blog/?p=13
Note:
gEDA also includes Icarus Verilog
http://wiki.geda-project.org/geda:icarus
http://web.ece.ucdavis.edu/~bbaas/281/tutorials/verilog/
http://verilog.openhpsdr.org/
Read More

21 tháng 6, 2015

Bộ sách ETS TOEIC Test LC 1000 full 10 đề

Đây là một trong những sách TOEIC hot nhất hiện nay vì theo những bạn đã luyện thì sách này có giọng đọc giống y như đề thi thật. Một số bạn luyện các sách khác vào thi không quen giọng nên bỡ ngỡ làm bài không được tốt.

Hiện nay trên mạng chỉ có file nghe và 2 đề đầu tiên trong cuốn sách. Mình gửi tặng các bạn file e-book đầy đủ 10 đề trong sách.
https://drive.google.com/file/d/0B9Cw8k5__G16NGNFRzQyLUJOQXM/view
Chúc các bạn ôn thi tốt nhé

Link download e-book: https://drive.google.com/file/d/0B9Cw8k5__G16azNVU1huMndZUGM/view?usp=sharing

Link download audio:
https://drive.google.com/file/d/0B9Cw8k5__G16RDFjbGJRMWhETUE/view?usp=sharing

Link download audio dự phòng (nếu không tải được file trên): https://drive.google.com/file/d/0B5pA9SAL04kxeWlqa3B6Y1c1ejg/view?usp=sharing


Bản dịch đề số 2 trong sách, gồm cả phần câu hỏi và đáp án. Ngoài phần dịch, mình còn in đậm phần diễn giải tại sao chọn đáp án đó và liệt kê ra các từ vựng cần thiết để học (có kèm phiên âm). Link down: https://drive.google.com/file/d/0B9Cw8k5__G16NGNFRzQyLUJOQXM/view
Read More
x